[情報] AMD 勢如破竹 7NM 首發 ZEN2 架構 “ROME

看板PC_Shopping作者 (苛薄人)時間5年前 (2018/11/07 09:37), 編輯推噓56(56095)
留言151則, 51人參與, 5年前最新討論串1/3 (看更多)
AMD 勢如破竹 7NM 首發 ZEN2 架構 “ROME” EPYC 64 核心處理器 / 精進 CHIPLET 小 核設計 https://goo.gl/J1Fmg5 AMD 今日舉辦的「Next Horizon」活動中,著重於「資料中心」產品的更新,包含著開放 資源的 ROCm 2.0 開放資源的 GPU 運算語言更新,以及 Amazon aws 加入 EPYC 平台, 提供 R5a / M5a / T3a 等平台,此外還有 7nm 首發的 “ROME” EPYC 處理器、Radeon Instinct 運算加速卡,以及下一代「Zen 2」新架構設計預覽。 Amazon aws 加入 EPYC 平台 活動由 AMD CEO Lisa Su 親自開場,並揭曉 Amazon aws 加入 EPYC 平台,提供 R5a / M5a / T3a 等平台,有著更高的核心密度、記憶體頻寬,以及最好的每美元性能比。 誠如今年預告一般,AMD 順利的推出 Zen+ 12nm 處理器,而規劃的 Zen 2 架構更新與 7nm 製程更是如預期一般,已經開始 Sampling 送樣當中,更預期在 2020 年間將有 Zen3 7nm+ 的更新規劃,Zen 4 更在規劃之中。 而 AMD 之所以能如期跨入 7nm 製程產品,則是 AMD 與 TSMC 深度合作,7nm 製程,不 僅可提升 2 倍的晶體密度,並有著將近 1.25 倍的性能提升,更讓功耗僅增加 0.5 倍。 更預期在每瓦效能表現上,於 2019 年即可比對手的 10nm 產品,得到更好的性能與功耗 表現。 有關「Zen 2」架構更新,AMD 也提到將有著 2 倍 Throughput 提升,增強執行緒、加 大 Floating Point 與 Load Store 單元,並提升核心密度。 Zen 2 將有新的前端(Front End)設計,強化分支預測、指令預取,並優化指令快取與 加大 Op 快取。此外,更提升 Floating Point 頻寬至 256-bit,有著更大的 Load/Store、Dispatch / Retire 頻寬。以及強化 Zen 架構安全性,強化記憶體加密預 防旁路攻擊。 更有趣的是 Zen 架構之初,所採用的 CPU Complex(CCX)架構,讓每個 Die 包含 2 組 CCX 單元,換句話說一個 Die 即擁有 8 個核心。而 EPYC 處理器則採用 4 顆 Die 打造 32 核心的處理器,每個核心之間通過 Infinity Fabric 連接。 Zen 2 將採用精進的「Chiplet」設計,將核心所需的 I/O、DRAM、Infinity Fabric 等 控制功能獨立出核心晶片,使得 Zen 2 架構可擁有多顆 7nm CPU Chiplet,以及一顆 14nm I/O Die 組合。 AMD Zen 2 採用精進的 Chiplet 7nm CPU 設計,更可在同功耗下提升 2 倍 Throughput ,更高的指令執行速度與強化安全性。 採用 Zen 2 架構 Chiplet 7nm CPU 設計的 EPYC 處理器 “ROME”,將達到 64 核心 128 執行緒的驚人效能,不僅性能翻倍更有著 4 倍 Floating Point 性能提升;更是首 款支援 PCIe 4.0 的 x86 處理器。 一顆 EPYC ROME 即可與 Intel Xeon Scalable 8180M 雙插槽伺服器對決,AMD 通過 Chiplet 7nm CPU 與獨立 14nm I/O Die,再次強化處理器的擴充彈性與性能。 預計 Zen 2 “ROME” 將在 2019 年推出,而下一代 Zen 3 “MILAN” 則在計畫當中; 運算卡 Radeon Instinct MI60 將在今年 Q4 推出,而下一代 “MI-NEXT” 運算卡亦在 規劃當中。 至於主流的 Ryzen 產品,則會採用相同的 Zen 2 架構,但核心數是否會一樣往上提升, 這就要看 AMD 對主流市場的規劃,以及主流市場是否需要超過 8 核以上的產品而定,這 應該在明年 CES 將會有答案。 -- ※ 發信站: 批踢踢實業坊(ptt.cc), 來自: 114.41.29.155 ※ 文章網址: https://www.ptt.cc/bbs/PC_Shopping/M.1541554669.A.A63.html

11/07 09:39, 5年前 , 1F
所以zen2沒有出消費級的cpu ??都伺服器的?
11/07 09:39, 1F

11/07 09:40, 5年前 , 2F
首發是伺服器
11/07 09:40, 2F

11/07 09:43, 5年前 , 3F
之前就有說伺服器先啊,消費級的你要香還得等一陣子
11/07 09:43, 3F

11/07 09:43, 5年前 , 4F
11/07 09:43, 4F

11/07 09:43, 5年前 , 5F
這可以作相對Intel 密度更高的hpc
11/07 09:43, 5F

11/07 09:43, 5年前 , 6F
然後搭nv GPU tray 啊斯
11/07 09:43, 6F

11/07 09:44, 5年前 , 7F
越陳越香 消費級明年Q1Q2
11/07 09:44, 7F

11/07 09:45, 5年前 , 8F
電蝦最黑暗的一天
11/07 09:45, 8F

11/07 09:47, 5年前 , 9F
太香啦啦啦
11/07 09:47, 9F

11/07 09:49, 5年前 , 10F
殺I拔N Amd一統江湖!
11/07 09:49, 10F

11/07 09:50, 5年前 , 11F
打算賣多少 多久才能台灣上市
11/07 09:50, 11F

11/07 09:50, 5年前 , 12F
Sieg G翁
11/07 09:50, 12F

11/07 09:52, 5年前 , 13F
好香
11/07 09:52, 13F

11/07 09:56, 5年前 , 14F
功耗僅增加0.5倍.是 x 1.5還是 x 0.5
11/07 09:56, 14F

11/07 09:57, 5年前 , 15F
兩倍的核心 1.5倍功耗
11/07 09:57, 15F

11/07 10:04, 5年前 , 16F
期待2020 7nm+幹爆牙膏的10nm 連遊戲都輸到脫褲
11/07 10:04, 16F

11/07 10:05, 5年前 , 17F
香到不行
11/07 10:05, 17F

11/07 10:07, 5年前 , 18F
真香
11/07 10:07, 18F

11/07 10:08, 5年前 , 19F

11/07 10:08, 5年前 , 20F
發表會的投影片
11/07 10:08, 20F

11/07 10:08, 5年前 , 21F
所以我說那個消費級7nm顯卡啥時出
11/07 10:08, 21F

11/07 10:08, 5年前 , 22F
yes
11/07 10:08, 22F

11/07 10:09, 5年前 , 23F
POWER 0.5x (Same performance)
11/07 10:09, 23F

11/07 10:11, 5年前 , 24F
有看直播的人可以詳述一下那1.25X是在什麼情形下?
11/07 10:11, 24F

11/07 10:12, 5年前 , 25F
thx
11/07 10:12, 25F

11/07 10:14, 5年前 , 26F
回某樓 7nm消費級顯卡要等到Nova架構了
11/07 10:14, 26F

11/07 10:15, 5年前 , 27F
之前AMD就有說7nm VEGA只會出在專業GPU領域
11/07 10:15, 27F

11/07 10:15, 5年前 , 28F
給你錢,趕快出遊戲卡
11/07 10:15, 28F

11/07 10:16, 5年前 , 29F
沒差 問的 答的 都不會買
11/07 10:16, 29F

11/07 10:24, 5年前 , 30F
給你錢出了直接買
11/07 10:24, 30F

11/07 10:27, 5年前 , 31F
真香
11/07 10:27, 31F

11/07 11:23, 5年前 , 32F
伺服器市場搶起來 intel QQ
11/07 11:23, 32F

11/07 11:39, 5年前 , 33F
災情的開端
11/07 11:39, 33F

11/07 11:45, 5年前 , 34F
伺服器果然先行 戰起來戰起來
11/07 11:45, 34F

11/07 11:45, 5年前 , 35F
借問一下,今天早上花了一個小時了解一下這次的發
11/07 11:45, 35F

11/07 11:45, 5年前 , 36F
表內容,看完後跟女友表示很想買,但她說看這幹嘛,
11/07 11:45, 36F

11/07 11:45, 5年前 , 37F
不准你買,請問我是不是要把她趕出去?
11/07 11:45, 37F

11/07 11:46, 5年前 , 38F
nova架構是啥?是在說navi嗎?
11/07 11:46, 38F

11/07 11:47, 5年前 , 39F
4
11/07 11:47, 39F
還有 72 則推文
11/07 19:40, 5年前 , 112F
兵敗如山倒了
11/07 19:40, 112F

11/07 19:42, 5年前 , 113F
既然都說有改進延遲 那就等著看下去吧
11/07 19:42, 113F

11/07 19:43, 5年前 , 114F
如果延遲是退步 應該不敢這樣講
11/07 19:43, 114F

11/07 19:50, 5年前 , 115F
當年K8只整合進MC導致北橋性能輸蠻大的
11/07 19:50, 115F

11/07 19:50, 5年前 , 116F
並不是整個進去就好像一定棒 因為之前的做法拉更遠
11/07 19:50, 116F

11/07 19:54, 5年前 , 117F
這做法好處很多阿 省7nm成本良率 還能順便填gf產能
11/07 19:54, 117F

11/07 19:54, 5年前 , 118F
解決不平衡的latency
11/07 19:54, 118F

11/07 19:56, 5年前 , 119F
物理訊號確實走比較遠 但是可能再整個if架構優化補
11/07 19:56, 119F

11/07 19:56, 5年前 , 120F
回來 我猜大概有機會做到比之前好 但是要打平牙膏
11/07 19:56, 120F

11/07 19:56, 5年前 , 121F
皇直連還是不可能
11/07 19:56, 121F

11/07 19:57, 5年前 , 122F
最後可能是介再一代跟牙膏王中間的表現
11/07 19:57, 122F

11/07 20:00, 5年前 , 123F
那也夠了 想想i是用什麼大die來做 人家用料奢華
11/07 20:00, 123F

11/07 20:01, 5年前 , 124F
這方案能在成本 性能抓個平衡 就很屌了
11/07 20:01, 124F

11/07 20:14, 5年前 , 125F
牙膏直連雙路大概也不會贏啦...
11/07 20:14, 125F

11/07 20:15, 5年前 , 126F
一路跟你雙路打 雙路打你四路
11/07 20:15, 126F

11/07 20:39, 5年前 , 127F
樓上,不要這樣,一路打雙路是8180,人家一路膠水已
11/07 20:39, 127F

11/07 20:39, 5年前 , 128F
經黏到48c啦
11/07 20:39, 128F

11/07 20:43, 5年前 , 129F
你用黏的就不要說自己是直連有贏了啊( ′_>`)
11/07 20:43, 129F

11/07 21:01, 5年前 , 130F
牙膏之前的QPI就跨Socket打平MCM了 如果要MCM對比
11/07 21:01, 130F

11/07 21:01, 5年前 , 131F
大概就變小輸了
11/07 21:01, 131F

11/07 21:02, 5年前 , 132F
說錯 如果維持雙Socket打新架構 大概變小輸
11/07 21:02, 132F

11/07 21:02, 5年前 , 133F
這架構最大好處就是交換不會有跨對角線直接Latency
11/07 21:02, 133F

11/07 21:02, 5年前 , 134F
爆炸 傳給誰應該都一樣速度
11/07 21:02, 134F

11/07 21:04, 5年前 , 135F
但還是有小缺點是8C一單位 跨出去就跨Die了
11/07 21:04, 135F

11/07 21:04, 5年前 , 136F
不過8C應該是很夠用了 沒這麼常跨出去
11/07 21:04, 136F

11/07 21:19, 5年前 , 137F
別忘了那個膠水48c也只能雙路96c而已,zen2雙路有12
11/07 21:19, 137F

11/07 21:19, 5年前 , 138F
8c怎麼比
11/07 21:19, 138F

11/07 21:20, 5年前 , 139F
而且最重要的還是在價格效能,兩個大die膠水我不信
11/07 21:20, 139F

11/07 21:20, 5年前 , 140F
會便宜到哪
11/07 21:20, 140F

11/07 21:22, 5年前 , 141F
而且intel一直講的直連優勢在他膠水48c就消失了
11/07 21:22, 141F

11/07 21:31, 5年前 , 142F
其實我不太懂講到直連為什麼會扯到膠不膠水就是了
11/07 21:31, 142F

11/07 21:31, 5年前 , 143F
直連再講的是ram的讀取 扯膠水架構是l3交換 兩個
11/07 21:31, 143F

11/07 21:31, 5年前 , 144F
明明不同的事情
11/07 21:31, 144F

11/07 21:32, 5年前 , 145F
雖然zen2架構是犧牲ram去換平均的l3
11/07 21:32, 145F

11/07 21:38, 5年前 , 146F
這種搞法會變慢的只有L3啊 RAM又不會
11/07 21:38, 146F

11/07 21:38, 5年前 , 147F
之前大概為了同步 RAM的LATENCY不漂亮
11/07 21:38, 147F

11/07 21:48, 5年前 , 148F
Ram實際走的路徑有比較長 但到底能影響多少也不知道
11/07 21:48, 148F

11/07 21:48, 5年前 , 149F
犧牲的很少的可能性很大 所以是很好的做法
11/07 21:48, 149F

11/07 22:11, 5年前 , 150F
你要考慮到之前RAM動不動就會從其他DIE跨界移動
11/07 22:11, 150F

11/07 22:11, 5年前 , 151F
所以除了良好的狀況下 大致上都是縮減路徑的
11/07 22:11, 151F
文章代碼(AID): #1Rua7jfZ (PC_Shopping)
文章代碼(AID): #1Rua7jfZ (PC_Shopping)